Previous Next

Pmod SSD │ 七段顯示器模組 │ GPIO

列印

Digilent Pmod SSD是七段式的兩位數顯示器模組,透過 GPIO  介面觸發在50赫茲以上的數位顯示,使兩位數字同時點亮顯示效果。

產品特色

information   通訊協議/介面:GPIO
speak   DIGILENT Pmod Interface Specification類型/版本:TYPE 1 / 1.2.0
011 yes 128   產品文件:產品規格 │ 原理圖  資源中心
20171115 04   產品編號:410-126
ShopeeDB 100.118 PNG   線上商店:商品連結可線上刷卡/享運費補助!